From 9a4e44e7df1a7133a16d32c50ae8dddb4b2ad0d1 Mon Sep 17 00:00:00 2001 From: Richard Kimberly Heck Date: Sat, 27 May 2023 11:38:19 -0400 Subject: [PATCH] Fix indentation --- src/Text.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/Text.cpp b/src/Text.cpp index 80bd80c1d5..e87689990f 100644 --- a/src/Text.cpp +++ b/src/Text.cpp @@ -5396,7 +5396,7 @@ void Text::dispatch(Cursor & cur, FuncRequest & cmd) // invalid after regex_match returns, since it is then // being given a temporary object. (Thanks to Georg for // figuring that out.) - regex const link_re("^(([a-z]+):|www\\.).*"); + regex const link_re("^(([a-z]+):|www\\.).*"); smatch matches; string const c = to_utf8(lowercase(content)); -- 2.39.5